RISC-V Unleashed: The definitive guide to next-gen computing

By: Valerii Haidarzhy, 16 Apr 2024
13 minutes

Reading Time: 13 minutes

In recent years, RISC-V has revolutionized the computing industry, ushering in a wave of innovation and transformation. Having expertise in this architecture, we want to share with you the benefits of this open-source ISA based on Reduced Instruction Set Computing (RISC) principles.

The fundamental purpose of an ISA is to define the instructions that a microprocessor can execute, which serves as a foundation for designing and manufacturing processors. RISC-V is unique in that it is open-source, which has led to an influx of attention in recent years, enabling developers and manufacturers to create custom hardware solutions without the limitations of licensing fees or proprietary restrictions.

Our goal here is to explore RISC-V in-depth, covering its history, architecture, and current situation. By the end, you’ll understand the benefits of RISC-V and why it’s become such a popular choice for those looking for customization and flexibility. 

The History of RISC-V 

The story of RISC-V began at the University of California, Berkeley, where a group of researchers, led by Professors Krste Asanović and  David Patterson, was working on new open-source instruction set architectures (ISAs) for their research projects. The idea was to create an ISA that was not only open and free from licensing restrictions, but also simple, efficient, and easily extensible.

In 2010, they published the first version of the RISC-V ISA, which was designed with a focus on simplicity and energy efficiency. This marked the beginning of a new era in processor architectures, as RISC-V aimed to challenge the dominance of proprietary ISAs like ARM and x86.

Milestones

Since its inception, RISC-V has achieved several noteworthy accomplishments , which include:

  • Formation of the RISC-V Foundation (2015): To support the growing interest in RISC-V and its ecosystem, the RISC-V Foundation was established. Its mission is to promote the development of the RISC-V ISA and to foster a vibrant community of contributors and users.
  • RISC-V International: In 2020, the RISC-V Foundation transitioned into RISC-V International, a global organization that represents the interests of its members and aims to drive the continued growth of the RISC-V ISA worldwide.
  • Adoption by Major Companies: Over the years, several major tech companies such as Google, Nvidia, and Western Digital have implemented RISC-V in their products, signaling a growing industry-wide acceptance of open-source architecture.
  • Expansion of the RISC-V Ecosystem: As RISC-V’s popularity has grown, so too has the ecosystem surrounding it. Today, there is a wide range of RISC-V-based cores, tools, software, and development boards available, making it easier than ever for developers to get started with the architecture.

An Ecosystem Of Companies And Major Investors 

Now, let’s take a look at the companies and investors involved in the RISC-V ecosystem. We’ll explore who the key players are, what official implementations are available, and how RISC-V is being adopted across various industries.

Private Company’s Investment And Government Support

The RISC-V ecosystem has attracted the attention of numerous investment firms, recognizing the potential impact of this open-source ISA on the technology landscape. Some of the leading investment firms that have contributed to the growth of RISC-V-based companies include

  • Sutter Hill Ventures: An early-stage venture capital firm that has invested in SiFive, one of the most prominent companies in the RISC-V space.
  • Spark Capital: This venture capital firm has also backed SiFive, supporting its mission to promote the adoption of the RISC-V ISA.
  • SK Hynix: The South Korean semiconductor giant has invested in RISC-V companies like SiFive and Esperanto Technologies, highlighting its interest in the open-source ISA.
  • Qualcomm Ventures: The investment arm of the wireless technology leader Qualcomm has shown its support for RISC-V through investments in companies like SiFive.

Government agencies worldwide have also recognized the potential benefits of RISC-V. The European Processor Initiative (EPI) has selected RISC-V as one of the key technologies to develop a European exascale supercomputing platform. Also, the Indian government’s Ministry of Electronics and Information Technology (MeitY) has launched a project called “OpenForge,” which aims to promote the development and adoption of open-source technologies, including RISC-V. The project involves collaboration between the government, industry, and academia, and provides support and resources for developers to create new open-source hardware and software solutions using RISC architecture. 

Popular RISC-V Implementations

In recent years, several companies have adopted RISC-V architecture for their processors and microcontrollers, leveraging its open-source nature and adaptability. Here are some popular RISC-V implementations and their features.

  • RocketChip
    An open-source RISC-V-based SoC (System-on-Chip) generator developed by UC Berkeley. It provides a customizable and flexible platform for researchers and developers to explore various RISC-V configurations, including processor pipelines, cache hierarchies, and memory subsystems.
  • SiFive
    SiFive is one of the leading semiconductor companies that has created a range of technologies, including the SiFive Freedom SDK for Metal and the SiFive Performance and Intelligence Cores. The SDK provides tools for designing custom applications on SiFive’s RISC-V processors. Performance Cores, like U54 Core, are scalable for high-performance computing and specific needs, while Intelligence cores, like SiFive E31 Core, are for low-power, embedded systems, which are highly efficient for IoT devices. Both are fully customizable for specific applications.
  • GigaDevice
    The Chinese company, which previously used ARM Cortex-M3 cores, acquired the Bumblebee core from Nuclei System Technology and replaced the Cortex-M3 with the RV32IMAC RISC-V core. GigaDevice offers an official starter kit for developers interested in working with their RISC-V implementation.
  • T-Head Semiconductor
    T-Head Semiconductor, a part of the Alibaba Group, has developed and released the source code for its processors based on the same public RISC-V specifications. T-Head Semiconductor’s RISC-V-based solutions have been used in a variety of products, including Alibaba Cloud’s X-Dragon, a custom-designed architecture that is based on RISC-V and is optimized for cloud computing applications. It is used to power Alibaba Cloud’s data centers, providing a highly scalable and customizable solution for their customers.
  • Espressif Systems – ESP32-C3
    Espressif Systems has released the ESP32-C3, a popular microcontroller with Wi-Fi and Bluetooth 5 (LE) capabilities, based on the RISC-V architecture. This provides a more open alternative to their previous ESP32 chips that used the Tensilica Xtensa LX6 architecture.
  • CAES (Cobham Advanced Electronic Solutions)
    CAES, specializing in the development of electronics for critical applications, is working on a 16-core RISC-V processor for space applications. The prototype, Noel-V, is available on their website, offering seven core variants from RV32IM to RV64GCH with one or two cores. WindRiver announced VxWorks, a standard for the aviation and aerospace industry, for RISC-V processors.
  • Allwinner
    Allwinner has released a single-core processor, the Allwinner D1, based on the XuanTie C906 core developed by T-Head Semiconductor. Primarily designed for low-cost video cameras and similar devices, debugging boards from Sipeed are available on AliExpress in several form factors, along with accessible software
  • StarFive
    Chinese company StarFive introduced the Star64 Model A Single Board Computer (SBC), featuring the StarFive JH-7110 System on a Chip (SoC). This SoC boasts a quad-core SiFive U74 RISC-V 64-bit CPU and an IM BXE-4-32-G52-2EE GPU. The SBC comes with 8GB LPDDR4 system memory, an onboard 128Mb QSPI NOR Flash, an optional eMMC module (up to 128GB), and a microSD slot for booting purposes.
  • NVIDIA
    NVIDIA, despite its attempts to acquire ARM, announced plans to develop microcontrollers based on RISC-V as auxiliary systems within their products. This demonstrates NVIDIA’s commitment to embracing the potential of RISC-V technology.
  • Western Digital
    Western Digital is conducting research on using RISC-V in its storage devices, potentially bringing the benefits of open architecture to the data storage market.

Huawei and Xiaomi, are among the many other companies that are developing processors based on open RISC-V specifications. As an example, Huawei’s Kunpeng series of processors use the RISC-V architecture and is optimized for cloud computing and other data-intensive applications. Xiaomi has also announced that it is working on a RISC-V-based processor for use in its IoT devices.

Risc-V In Different Industries 

The open-source nature and flexibility of the RISC-V ISA has led to its adoption across various industries.

The Internet Of Things And Embedded Systems

RISC-V’s modularity and energy efficiency make it increasingly popular in IoT and embedded systems. These systems require high performance with low power consumption, which RISC-V delivers through tailored customization and easy integration of custom instructions. This optimizes performance for specific use cases, extends battery life, and reduces device size. This energy efficiency is also useful for embedded systems with limited power sources, allowing comparable performance with less power consumption.

Data Centers

An open-source processor architecture enables companies to customize server processors and accelerators for data centers, promoting innovation and reducing costs. Custom instructions optimized for specific workloads can also improve performance and reduce licensing and proprietary architecture costs. RISC-V further provides scalability, security, and power efficiency, allowing data centers to scale resources as needed. One example of this architecture’s impact on the data center industry is Western Digital’s development of RISC-V-based controllers for its data storage devices.

Automotive and Industrial Automation

RISC-V’s adaptability for real-time processing makes it ideal for automotive and industrial automation, providing high performance and reliability. As one of the notables, NXP integrated SiFive’s RISC-V IP into automotive microcontroller solutions for ADAS, electric powertrain, and body control modules. This collaboration reduced costs and time while accelerating innovation.

Artificial Intelligence (AI) and Machine Learning (ML)

AI and ML are transforming industries, requiring high-performance computing solutions. This has led to the development of specialized hardware accelerators that can perform these tasks more efficiently than traditional processors. RISC-V’s extensibility makes it an attractive option for creating custom AI and ML accelerators optimized for specific tasks, enabling hardware vendors and software developers to experiment and customize solutions, addressing specific challenges of AI and ML applications.

Blockchain

The architecture’s extensibility enabled developers to design custom processors that could execute blockchain operations more efficiently than traditional processors. This allows for faster transaction processing and a reduction in the energy consumption associated with blockchain networks. For instance, SiFive has partnered with blockchain software company Riddle&Code to develop a secure hardware wallet for cryptocurrencies using RISC-V processors. The wallet uses custom instructions to accelerate the cryptographic functions required for secure cryptocurrency transactions, improving the overall performance and security of the wallet.

Satellites

Modularity, low power consumption, and radiation-hardened properties make the architecture an attractive option for satellite applications. Its open-source nature allows for the customization of the processor to meet the specific needs of satellite missions, reducing costs and promoting innovation. For example, the Trisat-R nanosatellite, created by Slovenia’s University of Maribor, employs a fault-tolerant NOEL-V RISC-V processor designed by Cobham Advanced Engineering Solutions (CAES) and incorporated into an FPGA. Developed collaboratively with CERN and Slovenian firm SkyLabs, which crafted the NANOhpm computer board, the nanosatellite is situated in medium Earth orbit (MEO) to assess ionizing radiation levels.

The Unstoppable Rise

It’s probably time to understand what exactly led to the surge in the popularity of this architecture. In our opinion, this is not only the result of the evolution of technical capabilities but also a combination of circumstances, including a series of political and economic events.

A Controversy That Rocked the Tech World

The Huawei scandal involved the revelation that the company’s base stations were transmitting user data to China, which has led to concerns over privacy and national security. In response, the US government imposed sanctions on Huawei and other Chinese companies that were deemed to be under state control. These sanctions effectively cut off their access to key technology components, disrupting their supply chains and pushing them to seek alternative solutions.

As a result of the US sanctions, ARM, a British semiconductor and software design company, stopped selling licenses to Chinese companies. ARM’s processor designs are widely used in the technology industry, and this move further restricted the access of Chinese companies to critical technology components. Consequently, Chinese companies began looking for alternative processor architectures that were not subject to these restrictions.

The US government’s restrictions on Chinese tech companies extended beyond Huawei and affected almost all companies with ties to the Chinese state. These restrictions fueled the search for alternative processor architectures that would not be subject to geopolitical tensions or government controls, ultimately contributing to the growth of RISC-V’s popularity.

Unlocking Innovation For The Global Technology Market

RISC-V’s open specifications mean that there are no restrictions on the use of processors based on its ISA, whether for space applications, weaponry, or any other purpose. This flexibility makes RISC-V an appealing choice for companies and organizations in various industries that require custom processors tailored to specific applications.

It also means it is difficult for governments or other entities to control or restrict its use. Even if a government were to attempt to ban the use of a particular RISC-V specification, the open-source nature of the ISA allows for the creation of forks with minor modifications, effectively creating a new specification without being restricted by licensing or other intellectual property concerns. We see this resistance to regulatory control as a major factor in the growing popularity. This flexibility has been a significant driver in the implementation of RISC-V processors, particularly in China, which is one of the largest producers and consumers of processors globally.

RISC-V vs. the world

In the world of processor architectures, there are numerous options to choose from, including x86, ARM, MIPS, and PowerPC. Each of these architectures has its unique set of features and benefits, addressing variant needs and applications. RISC-V, an open-source Instruction Set Architecture, has emerged as a compelling alternative

When comparing RISC-V with other processor architectures, some key differences become apparent. For instance, x86 is a popular choice for desktop and server applications due to its high performance and backward compatibility, but its complexity and power consumption can be limiting factors in some applications. On the other side, ARM controls the mobile and embedded markets due to its energy efficiency and a broad spectrum of cores, but it mandates licensing fees and isn’t open-source, constraining the opportunities for customization.

PowerPC is used in Apple Macintosh computers and gaming consoles such as the Xbox 360, PlayStation 3, and MIPS, which is still widely used in networking equipment and embedded systems, but has seen declining utilization in recent years. One of the main reasons is the rise of ARM, which has gained widespread integration in the mobile and embedded markets. Also, it can be attributed to the lack of investment in their development and evolution.

In contrast, RISC-V offers a simple, modular ISA that enables developers to create custom processors tailored to their specific needs without the need for licensing fees or restrictions imposed by proprietary architectures

Unique Advantages

RISC-V stands out among competing architectures for several reasons:

  • Open-source
    This means that anyone can use, modify, and distribute it without the need for licensing fees. This promotes collaboration, innovation, and accelerates the implementation of the architecture in various industries and applications.
  • Modularity
    The RISC-V ISA is designed to be modular, allowing developers to choose only the necessary components for their specific application. This results in reduced complexity, lower power consumption, and increased efficiency.
  • Customizability
    RISC-V enables developers to create custom extensions and processor designs, accommodating unique needs and applications. This level of customization is not available with proprietary architectures, which often impose restrictions on modifications and adaptations.
  • Scalability
    Architecture offers a wide range of processor configurations, from small microcontrollers to high-performance multi-core processors. This flexibility makes it suitable for a diverse set of applications and industries, including IoT devices, data centers, and automotive systems.
  • Strong community support
    RISC-V has a growing ecosystem of companies, organizations, and developers contributing to its development and adoption. This support ensures that the technology continues to evolve, adapt, and remain relevant in the ever-changing landscape.

Obstacles To Overcome

We all understand that there are two sides to every coin. Although RISC-V architecture has gained significant traction and offers numerous advantages, it is not an exception to this rule. So let’s try to recognize some of the possible challenges which can impact the implementation in certain applications and industries.

Limited software ecosystem 

Compared to more established architectures like x86 and ARM, RISC-V’s software ecosystem is still in its early stages. Although the support for software tools and libraries is growing, it may not be as comprehensive or mature as its competitors. This limitation can lead to compatibility issues and increased development time, especially for complex applications requiring specialized software components.

Performance optimization 

While RISC-V’s modular and customizable design enables the creation of tailored processors, it also presents a challenge in terms of performance optimization. With proprietary architectures, chip manufacturers have spent years refining their designs and optimizing performance. Thus, RISC-V developers may have to invest significant time and resources to achieve similar levels of performance, particularly for high-performance applications.

Fragmentation risk 

The open-source nature of RISC-V promotes innovation and customization, but it also creates a risk of fragmentation. As different developers and organizations create custom RISC-V implementations, maintaining compatibility and standardization can become increasingly challenging. Fragmentation can lead to difficulties in software development, support, and maintenance, hindering RISC-V’s widespread integration.

Less mature hardware implementations 

RISC-V’s hardware ecosystem is still developing, and some of the available implementations might not be as mature or reliable as those provided by established architectures. This can result in potential stability issues, reduced performance, or increased power consumption in certain implementations. Still, as the RISC-V ecosystem continues to grow and mature, it is expected that these issues will be addressed and resolved.

Chip availability

A significant obstacle for the RISC-V ecosystem is the scarce availability of actual chips due to numerous businesses focusing on developing RISC-V-based processors solely for internal applications. These proprietary developments limit the assortment of RISC-V chips accessible for wider adoption. For instance, Western Digital has created a custom RISC-V processor called “SweRV” for its storage devices, providing optimized performance and power efficiency tailored to the company’s needs. While the SweRV core is open-source, the physical chips incorporating it are primarily used internally. Similarly, IBM has developed the energy-efficient and customizable Microwatt RISC-V processor. Although the Microwatt core is also open-source, the chips featuring it are mostly used for IBM’s internal R&D and remain largely unavailable to external users.

Industry resistance and utilization rate 

Finally, as a relatively new architecture, RISC-V faces resistance from some industry players who have already heavily invested in other architectures. Transitioning to RISC-V may require significant investments in research, development, and infrastructure. Additionally, the adoption rate of RISC-V may be slower in certain industries due to the dominance of established architectures and the inertia of change.

Why embedded engineers should invest time in RISC-V

In case you are reading this as an embedded engineer, you’re always adapting to the evolving tech landscape, acquiring new skills to stay competitive. RISC-V, the open-source instruction set architecture, has gained momentum lately, and you may wonder if it’s worth investing time in learning it.

Newcomers in the field of embedded engineering often gravitate towards learning technologies like STM32, which are more widely used today than legacy technologies such as PowerPC, SPARC, Z80, or Motorola 68000. Investing time in learning technologies that are not only currently in use but also in high demand in the near future looks promising. RISC-V has already shaken ARM’s dominance and will continue to grow until another technology takes its place. As it is integrated into new devices, embedded engineers familiar with the architecture will be in demand, just as ARM developers are today.

While high-performance processors are still in development, RISC-V-based controllers are already being used in specialized network ASICs, disk controllers, and general-purpose microcontrollers. Soon, innovative technologies such as in-memory computing (e.g., Samsung’s In-Memory Processing) and solid-state drives (e.g., Samsung’s and WD’s SSD) will gain traction in the machine learning segment. Companies are increasingly choosing RISC-V over their proprietary architectures, as evidenced by companies such as Nvidia’s shift to this way.

We would also like to point out that RISC-V is well-positioned to replace proprietary solutions in security-centric industries. Its open-source nature enables more thorough examination and customization, providing a secure and tailored solution for specific use cases. As security becomes a higher priority in various sectors, the implementation of RISC-V will likely accelerate, offering embedded engineers opportunities to contribute to its growth.

Boost Your RISC-V development with Sirin Software’s expertise

In recent years, the RISC-V ecosystem has experienced significant growth, and partnering with Sirin Software can provide you with the competitive edge you need to excel in this innovative field. By leveraging our expertise, you can gain access to a wide range of RISC-V development resources and experience, ensuring the success of your projects.

We have worked on a variety of RISC-V projects, such as the SDK Development for RISC-V semiconductor company, where we contributed to the development process. Our team has also gained hands-on experience with SiFive® Performance™ Cores, which has allowed us to further enhance our knowledge and provided us with invaluable insights into the RISC-V architecture. 

Partnering with Sirin Software means gaining access to a team of professionals who are well-versed in the RISC-V ecosystem, ensuring the success of your RISC-V development projects. Let us help you navigate the rapidly evolving world of RISC-V, and together we can accomplish significant achievements in this exciting field.